Files
verilog/main_module.v

33 lines
566 B
Coq
Raw Normal View History

2024-12-30 16:19:10 +08:00
module test (
input clk,
input rst,
2024-12-30 19:32:43 +08:00
input [7:0] data,
2024-12-30 16:19:10 +08:00
output dout,
2024-12-30 19:32:43 +08:00
output reg [7:0] data_addr,
2024-12-30 16:19:10 +08:00
// 声明变量 如果不指定变量类型 则默认是wire类型
output reg [31:0] sum
);
2024-12-30 19:32:43 +08:00
reg [31:0] count;
2024-12-30 16:19:10 +08:00
assign dout = ~clk;
// 在时钟的上升沿开始计算
// 对时钟上升沿或rst电平敏感
always @(posedge clk or rst) begin
if(rst==0) begin
sum <= 0;
2024-12-30 19:32:43 +08:00
count <= 0;
data_addr <=0;
2024-12-30 16:19:10 +08:00
end
else begin
2024-12-30 19:32:43 +08:00
count <= count+1;
sum <= data*2;
data_addr <= count[7:0];
2024-12-30 16:19:10 +08:00
end
end
endmodule